Changelogs

Overview

These changelogs reflect the history of all files in the Subversion repository. The changelog has been generated at 2016-05-09 08:38:04

Changes per page:

25 50 100 250 500 1000 2000

Changelog for wxWidgets (70435 changes):

2001-12-21 14:30 JS, revision 13140

Added updated patch, clarified the docs

2001-12-21 14:07 VZ, revision 13139

disable new DL code by default

2001-12-21 13:43 VZ, revision 13138

compilation fix for dlerror() returning const char *

2001-12-20 17:07 , revision 13137
  • A /wxWidgets/tags/wxPy_2_3_2_1
  • R /wxWidgets/tags/wxPy_2_3_2_1/docs
  • R /wxWidgets/tags/wxPy_2_3_2_1/include
  • R /wxWidgets/tags/wxPy_2_3_2_1/lib/dummy
  • R /wxWidgets/tags/wxPy_2_3_2_1/misc/afm
  • A /wxWidgets/tags/wxPy_2_3_2_1/misc/afm/.cvsignore
  • A /wxWidgets/tags/wxPy_2_3_2_1/misc/afm/Zapf.afm
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/grid/bitmap1.bmp
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/grid/bitmap2.bmp
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/internat/internat.def
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/internat/internat.rc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/internat/makefile.sc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/internat/makefile.vms
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/internat/mondrian.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/layout/expt.cpp
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/layout/fload.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/layout/layout.def
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/layout/makefile.sc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/layout/makefile.vms
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/chart.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/makefile.sc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/makefile.vms
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/mdi.def
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/mdi.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/mdi.rc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/mdi/mondrian.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/minimal/mondrian.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/png/pngdemo.rc
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/printing/fload.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/printing/printing.def
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/splitter/mondrian.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/samples/tab/mondrian.ico
  • R /wxWidgets/tags/wxPy_2_3_2_1/src
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/common/glob.inc
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/bdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/cdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/cross.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/fdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/horiz.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk/verti.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/bdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/cdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/cross.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/fdiag.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/horiz.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/gtk1/verti.xbm
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/png/ansi2knr.1
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/png/makefile.nt
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/build.bat
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.aco
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.ama
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.atr
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.lnx
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.mip
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.s2x
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.sgi
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.sun
  • A /wxWidgets/tags/wxPy_2_3_2_1/src/png/scripts/makefile.wat
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/COPYRIGHT
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/mkh
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/README
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regc_color.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regc_lex.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regc_nfa.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regerrs.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regex.3
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regex.7
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regex.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regex2.h
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/rege_dfa.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regfronts.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/regguts.h
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/re_syntax.n
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/split.c
  • D /wxWidgets/tags/wxPy_2_3_2_1/src/regex/tclUniData.c
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/tests
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/utils.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/regex/WHATSNEW
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/algorithm.txt
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/ChangeLog
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/configure
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/descrip.mms
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/INDEX
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/infblock.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/infcodes.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/inffast.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/inftrees.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/infutil.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/Makefile.in2
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/Makefile.riscos
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/Make_vms.com
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/maketree.c
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/README
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/trees.h
  • R /wxWidgets/tags/wxPy_2_3_2_1/src/zlib/zlib.3
  • D /wxWidgets/tags/wxPy_2_3_2_1/utils/wxPython
  • D /wxWidgets/tags/wxPy_2_3_2_1/utils/wxprop
  • R /wxWidgets/tags/wxPy_2_3_2_1/wxPython

This commit was manufactured by cvs2svn to create tag 'wxPy_2_3_2_1'.

2001-12-20 17:07 RD, revision 13136

Tools

2001-12-20 17:01 RD, revision 13135

SWIGged updates for wxMac

2001-12-20 16:30 GT, revision 13134

Fixed a typo in the sample code of wxDbTable::Insert

2001-12-20 13:21 RL, revision 13133

added missing DYNLIB_CLASS define.

2001-12-20 13:11 RL, revision 13132

ugh. Nesting the (per class) plugin sentries can require them to have user export syntax under win32 (unlike the old method which had it's own uglyness though). Consider this a quickfix for win32, will look at better solutions after some sleep.

2001-12-20 12:06 RL, revision 13131

reimplemented sanity checks that were lost/broken in the regrettably far to hasty last minute pushmepullyou api changes.

2001-12-20 07:50 SC, revision 13130

MacOS conforming activate/deactivate

2001-12-20 07:36 GD, revision 13129

corrections to includes for compilation under Mac OS X

2001-12-20 07:08 RD, revision 13128

wxGTK SWIGged updates for: Changed (again) how the Python global interpreter lock is handled as well as the Python thread state. This time it works on SMP machines without barfing and is also still compatible with Python debuggers.

2001-12-20 06:42 SC, revision 13127

corrected bug in MacRedraw

2001-12-20 06:41 SC, revision 13126

added additional setters for the current clip region

2001-12-20 02:39 RL, revision 13125

moved dynamic loader option checks to chkconf.h

2001-12-20 00:40 VZ, revision 13124

fix for temp file creation under Windows

2001-12-19 23:51 RD, revision 13123

Forgot to recomment this out

2001-12-19 23:47 RL, revision 13122

Bumped version and soname for 2.3.3

2001-12-19 23:32 JS, revision 13121

Patch mods

2001-12-19 23:21 RL, revision 13120

More PYTHON_DIST fixes.

2001-12-19 22:14 RR, revision 13119

Updated changes.txt.

2001-12-19 22:03 SC, revision 13118

toplevel adaptions

2001-12-19 22:03 SC, revision 13117

GetMacXXXX renamed to MacGetXXXXX

2001-12-19 22:00 SC, revision 13116

implemented clipping using native regions